9
7段数码显示译码器设计.doc
段数码显示译码器设计实验要求:1)VHDL 文本输入设计方法设计一个译码器 2)在MAX+plusII 上进行编辑、编译、综合、适配、仿真。说明例中各语句的作用,详细描述示例的功能特点,给出其所有信号
4
7段数码显示译码器设计.doc
课程名称:EDA设计实验报告 实验名称:7 段数码显示译码器设计 学号:********* 姓名:*** 1. 实验目的:学习7 段数码显示译码器设计;学习VHDL 的多层次设计方法。 2. 实验原理
12
7段数码显示译码器设计.ppt
EDA【实验4】7段数码显示译码器设计学习7段数码显示译码器设计。学习VHDL的CASE语句应用及多层次设计方法7段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD
2
7段数码显示译码器设计.doc
实验目的:学习7段数码显示译码器设计;学习VHDL 的CASE 语句应用及多层次设计方法。 实验原理:7段数码是纯组合电路,通常的小规模专用IC,如74 或4000 系列的器件只能作十进制BCD 码译
13
段数码显示驱动实验.pdf
七段数码显示驱动实验七段数码显示驱动实验七段数码显示驱动实验
20
LED6位7段数码显示.doc
LED6位7段数码显示
6
十六进制7段数码显示译码器设计.docx
十六进制7段数码显示译码器设计 号12140202272014 实验一十六进制7 段数码显示译码器设计 实验目的: 1.熟悉硬件逻辑电路的一般设计和测试流程; 2.嵌入式逻辑分析仪使用方法; 实验内容
2
实验二 7段数码显示译码器.doc
实验二7段数码显示译码器【实验目的】设计七段显示译码器,并在实验板上验证学习Verilog HDL文本文件进行逻辑设计输入;学习设计仿真工具的使用方法;【实验内容】1.实现BCD/七段显示译码器的“
6
实验一 七段数码显示译码器设计.doc
21《EDA 技术实用教程》实验报告 实验一 七段数码显示译码器 班级 学号 姓名 日期2010.9.29 一、实验目的 1、了解显示译码器的结构和理解其工作原理。 2、学习7段数码显示译码器设计。
10
BCD码-共阴7段数码显示码转换器.doc
硬件描述语言VHDL具有良好的可读、易移植和易理解性,MAX+Plus II软件开发平台又为VHDL提供了一个良好的设计开发环境,本文以此为依托,设计了BCD码-共阴7段数码显示码转换器程序并进行了程序仿真,实现了类似芯片CD4511的功能,辅之以相应的硬件电路,即可实现7段数码显示转换器功能。

向豆丁求助:有没有段数码?