当前位置:全部  > 通信/电子  > 电子设计

数字钟设计集锦

  • 创建者:梦中寻
  • 创建时间:2011-08-12 14:32
  • 修改时间:2012-05-25 15:41
  • 介绍:本豆单收藏所有个人认为较好的数字钟设计。
  • 关键词: 优秀,经典,集锦,收藏,推荐,电子,电路,数字钟,电子设计
浏览量:30110 收藏量:12 分享量:2
  • 2
  • 分享到
  • 共28个文档
电子时钟的设计38 p
doc 电子时钟的设计
暂无描述
  • 上传人: leespf
  • 2011-06-11 19:27
  • 2
  • 评论0
  • 收藏3
多功能数字钟设计21 p
pdf 多功能数字钟设计
多功能数字钟设计
  • 上传人: 银文rcn
  • 2011-06-30 11:10
  • 0
  • 评论0
  • 收藏1
数字电路课程设计:数字钟20 p
doc 数字电路课程设计:数字钟
本文为XX大学计算机科学与技术专业的数字电路课程设计
题目为数字钟课程设计
  • 上传人: hehaiping1206
  • 2009-12-23 15:54
  • 655
  • 评论1
  • 收藏67
EDA实习数字钟12 p
doc EDA实习数字钟
本文为EDA实习数字钟
用VHDL语言做的数字钟程序-数字钟控制器的设计原理说明
  • 上传人: w552496722
  • 2010-01-17 14:35
  • 183
  • 评论1
  • 收藏15
多功能数字钟设计10 p
doc 多功能数字钟设计
设计一个具有校时、校分、清零、保持和整点报时功能的数字钟。数字钟的具体设计要求具体如下功能:(1)数字钟最大计时显示44小时79分35秒;(2)在数字钟正常工作使可以对数字钟进行快速校时和校分;(3)在数字钟正常工作情况下,可以对其进行不断电复位;(4)保持功能是要求在数字钟正常工作情况下,拨动开关可以使数字钟保持..
  • 上传人: szzowen
  • 2009-05-09 20:26
  • 4
  • 评论0
  • 收藏23
多功能数字钟设计报告10 p
doc 多功能数字钟设计报告
这是我课程设计的内容,采用的是Multisim软件设计的。。。要原图的发邮件yinhaijun0537127@sohu.com
  • 上传人: e71ad8b6
  • 2009-03-30 19:28
  • 65
  • 评论6
  • 收藏143
自动报时数字钟19 p
doc 自动报时数字钟
课程设计--自动报时数字钟
  • 上传人: migm35959949
  • 2010-03-28 13:06
  • 14
  • 评论0
  • 收藏25
多功能数字钟电路设计4 p
doc 多功能数字钟电路设计
数电课程设计
  • 上传人: hljo7
  • 2010-04-06 11:56
  • 73
  • 评论0
  • 收藏4
数字钟的设计与制作6 p
doc 数字钟的设计与制作
本文为数字钟的设计与制作方案书
数字钟的指标要求是能显示时,分,秒,且具有校时功能.
提供了总体方案设计与单元电路设计
  • 上传人: qq260139621
  • 2009-06-15 15:01
  • 643
  • 评论3
  • 收藏75
多功能数字钟47 p
doc 多功能数字钟
本设计是一种多功能数字钟,该数字钟具有基本功能和扩展功能两部分。其中,基本功能部分的有准确计时,以数字形式显示时、分、秒的时间和校时功能。扩展功能部分则具有:自动报整点时数的功能。数字钟的电路也是由主体电路和扩展电路两部分构成,在电路中,基本功能部分由主体电路实现,而扩展功能部分则由扩展电路实现。这两部分都有一个共..
数字钟设计19 p
ppt 数字钟设计
本文为数字钟设计说明,
内容包括有设计目的,设计任务,设计原理,程序设计流程
介绍了AT89C51单片机控制的数字钟,外接4个按键,分别设定秒、分、小时。
  • 上传人: magicoctoier
  • 2008-07-30 19:27
  • 9
  • 评论3
  • 收藏62
多功能数字钟设计10 p
doc 多功能数字钟设计
本方案设计的多功能电子钟除了传统的显示时间功能之外还可以测试温度、电网频率、电压、并提供了过压报警、非接触止闹等功能。其中温度采用AD590温度传感器电路测得,非接触止闹则采用红外控制技术实现。
  • 上传人: wanshaobin1
  • 2008-12-24 15:53
  • 7
  • 评论0
  • 收藏16
EDA数字钟设计实验报告9 p
doc EDA数字钟设计实验报告
数字钟设计完整实验报告
  • 上传人: beijixingo
  • 2009-06-23 09:55
  • 505
  • 评论1
  • 收藏11
多功能数字钟的VHDL设计17 p
doc 多功能数字钟的VHDL设计
多功能数字钟的VHDL设计
  • 上传人: 10086
  • 2010-01-16 17:45
  • 85
  • 评论0
  • 收藏43
基于单片机的多功能数字钟设计10 p
doc 基于单片机的多功能数字钟设计
本文是《2004年山东省电子设计大赛》中纪宗江、刘风磊、仇伟设计的基于单片机的多功能数字钟设计。时钟, 自从它发明的那天起,就成为人类的朋友,但随着时间的推移,人们对它的功能又提出了新的要求,怎样让时钟更好的为人民服务,怎样让我们的老朋友焕发青春呢?这就要求人们不断设计出新型时钟。本方案设计的多功能电子钟除了传统的..
  • 上传人: 梦中寻
  • 2009-06-24 01:05
  • 12
  • 评论1
  • 收藏19

相关豆单推荐

更多>>
决战全国电子设计大赛
创建者: 收藏量:30
交通灯控制电路设计集锦
创建者: 收藏量:21
电子器件手册
创建者: 收藏量:16
013-电子产品生产工艺全集
创建者: 收藏量:15
锁相环精典资料
创建者: 收藏量:13
数字钟设计集锦
创建者: 收藏量:12

请验证你的邮箱,以便接收更新提醒

验证邮件已发送到你的邮箱null前往邮箱,立即验证。

邮箱地址 null

如果找不到邮件,看看是否在垃圾邮箱或订阅邮箱里,若10分钟内没收到确认信,请[重新发送]

感谢你订阅了null

你会收到更新提醒邮件,同时订阅信息会在首页兴趣标签“null”中显示

邮箱地址 null