LED手电筒之DIY 自制

本文档由 机械设备 分享于2011-10-31 18:15

LED手电筒的制做全过程,自己动手做一把有个性的手电筒
文档格式:
.pdf
文档大小:
1.71M
文档页数:
69
顶 /踩数:
2 0
收藏人数:
14
评论次数:
0
文档热度:
文档分类:
办公文档  —  简明教程
添加到豆单
文档标签:
led diy 好评 称号 LED 手电筒 DIY 自制
系统标签:
手电筒 led diy 自制 好评 称号
下载文档
收藏
打印

扫扫二维码,随身浏览文档

手机或平板扫扫即可继续访问

推荐豆丁书房APP  

获取二维码

分享文档

将文档分享至:
分享完整地址
文档地址: 复制
粘贴到BBS或博客
flash地址: 复制

支持嵌入FLASH地址的网站使用

html代码: 复制

默认尺寸450px*300px480px*400px650px*490px

支持嵌入HTML代码的网站使用

分享到